参考文献

以下のシンポジウム,コンファレンスに関しては略号を用い,開催年, ページ数のみを示した.

ASPLOS: Architecture Support for Progamming Language and Operating Systems
ICPP: International Conference on Parallel Processing
ISCA: International Symposium on Computer Architecture
FGCS: International Conference on Fith Generation Computer Systems
ISPAN: International Symposium on Parallel Architectures Algorithms and Networks
SPDP: IEEE Symposium on Parallel and Distributed Processing
JSPP: 並列処理シンポジウム(Joint Symposium on Parallel Processing)

[1]
M.J.Flynn, Some Computer Organizations and Their Effectiveness, IEEE Trans. on Computers, Vol. C-21, No. 9, pp. 948-960, Sep. 1972
[2]
P.H.Enslow Jr., ``Multiprocessor Organization - A Survey,'' ACM Computing Surveys, Vol.9, No.1 Mar. 1977.
[3]
W.C.Athas, C.L.Seitz, Multicomputers: Message-Passing Concurrent Computers, IEEE Computer, Vol. No. 8, Aug. 1988. pp.9-23.
[4]
G.S.Almasi, A.Gottlieb, "Highly Parallel Computing (second edition)," The Benyamin/Cummings Pub. Co. Inc. 1994.
[5]
K.Hwang, "Advanced Computer Architecture - Parallelism, Scalability, Programming," McGRAW-Hill, Inc. 1993.
[6]
H.S.Stone, "High-Performance Computer Architecture," Addison-Wesley Pub. Co., 1990.
[7]
富田眞治、 "並列計算機構成論," 昭晃堂、1986.
[8]
富田、末吉、 ``並列処理マシン,'' オーム社、1989.
[9]
村岡洋一 ``並列処理,'' 昭晃堂、 1986.
[10]
笠原博徳、 "並列処理技術," コロナ社、1991.
[11]
弓場、山口 "データ駆動型並列計算機,'' コンピュータアーキテクチャシリーズ, オーム社 1993.
[12]
C.Mead, L.Conway, "Introduction to VLSI systems," Addison-Wesley Pub. Co., 1980.
[13]
D.B.Skillicorn, ``A Taxonomy for Computer Architectures,'' Computer, Nov. 1988. pp.46-57.
[14]
R.Duncan, ``A Survey of Parallel Computer Architectures,'' Computer, Feb. 1990. pp.5-16.
[15]
K.J.Thurber, L.D.Wald, ``Associative and Parallel Processors,'' ACM Computing Surveys, Vol.7 No.4 Dec. 1976. pp.215-255.
[16]
IEEE Draft: ``Futurebus+ P986.1 Draft 8.2'', Feb. 1990.
[17]
小林修: ``1Gバイト/秒時代に突入した密結合マルチプロセサ用バス'', 日経エレクトロニクス, no.593, Oct. 1993.
[18]
Texas Instruments: ``SuperSPARC User's Guide'', 1992.
[19]
T.Nakagawa, et al. ``A multi-microprocessor approach to discrete system simulation,'' Proc. of CompCon Spring 1980.
[20]
T.Terasawa, O.Yamamoto, T.Kudoh, H.Amano, ``A performance evaluation of the multiprocessor testbed ATTEMPT-0,'', Parallel Computing, 21, 1995. pp.701-730.
[21]
B.Wilkinson, ``Computer Architecture -Design and Performance-,'' Prentice Hall International Ltd. 1991 (高橋義造 監訳、渡辺 尚、小林真也 訳、「計算機設計技法 -マルチプロセッサ システム論-」トッパン、1994.
[22]
E.F.Gehringer, J.Abullarade, M.H.Gulyn, A Survey of Commercial Parallel Processors, Computer Architecture News, Sep. 1988
[23]
D.Lenoski, et al., The Stanford DASH Multiprocessor, Computer, Vol. 25, No. 3, 1992. pp. 63-79.
[24]
漆原茂、 ``DASH: スケーラブル共有メモリ型マルチプロセッサ、'' 情報処理、Vol.33, No.2 Feb. 1992. pp.143-152.
[25]
J.Archibald, J.-L.Baer, Cache-Coherence Protocols: Evaluation Using a Multiprocessor Simulation Model, ACM Trans. on Computer Systems, Vol.4, No.4, 1986. pp. 273-298.
[26]
M.Annaratone and R.Ruehl, ``Performance measurements on a commercail multiprocessor running parallel code,'' ISCA89, 1989. pp.315-324.
[27]
M.S.Papamarcos and J.H.Patel: ``A LOW-OVERHEAD COHERENCE SOLUTION FOR MULTIPROCESSORS WITH PRIVATE CACHE MEMORIES'', ISCA84, pp.348-354.
[28]
寺澤 卓也, 井上 敬介, 黒澤 飛斗矢, 天野 英晴: ``オンチップマルチプロセッサのキャッシュメモリの検討'', 信学技報, {\bf CPSY95}-17, 1995.
[29]
R.H.Katz, et al, ``IMPLEMENTING A CACHE CONSISTENCY PROTOCOL'', ISCA85, pp.276-283.
[30]
C.P.Thacker, L.C.Stewart and E.H.Satterthwaite Jr., ``Firefly: A Multiprocessor Workstation,'' IEEE Trans. on Comput., Vo.37, No.8, 1988. pp.909-920.
[31]
McCreight E.M.: ``THE DRAGON COMPUTER SYSTEM An Early Overview'', NATO ASI Series E-No.96, 1985. pp.83-101.
[32]
P.Sweazey, A.J.Smith, A Class of Compatible Cache Consistency Protocols and their Support by the IEEE Futurebus, ISCA86, pp. 414-423.
[33]
高橋 義造 編, 並列処理機構 第5章, Maruzen Advanced Techrology 電子・情報・通信 編, 丸善, 1989
[34]
A.R.Karlin, M.S.Manasse, L.Rudolph and D.D.Sleator, ``Competitive Snooping Caching,'' Proc. of 27th Annual Symposim on Foundations of Computer Science, Oct. 1986.
[35]
S.J.Eggers, R.H.Katz, Evaluating the Performance of Four Snooping Cache Coherency Protocols, ISCA89, pp. 2-15.
[36]
鈴木則久、清水茂則、山内長承、 ``共有記憶型並列システムの実際,'' コロナ社、並列処理シリーズ16, 1993.
[37]
松本 尚、 ``細粒度並列実行支援機構,'' {\bf ARC89}-12, 1989.
[38]
J.R.Goodman, ``Using Cache Memory to Reduce Processor-Memory Traffic,'' ISCA83, pp. 124-131.
[39]
B.R.Preiss, V.C.Hamacher, A Cache-based Message Passing Scheme for a Shared-bus Multiproceesor, ISCA88, pp. 358-364.
[40]
H.Amano, T.Terasawa, T.Kudoh, Cache with Synchronization Mechanism, Proc. of IFIP 11th World Computer Congress, pp.1001-1006, Aug. 1989
[41]
T.Matsumoto, T.Tanaka, T.Moriyama, S.Uzuhara, ``MISC: a mechanism for Integrated Synchronization and Communication using Snoop Caches,'' ICPP91, I161-I167.
[42]
五島、松本、森、中島、富田、 ``Virtual Queue: 超並列計算機向きメッセージ通信機構,'' JSPP95, pp.225-232.
[43]
松本尚、 ``スヌープキャッシュ制御機構のDOACROSSループへの適用,'' 情報処理学会論文誌 Vo.34, No.4, 1993. pp.616-627.
[44]
浦城 恒雄, ``キャッシュメモリの一致性について,'' 情報処理 Vol. 32, No. 1, 1991. pp.64-73.
[45]
P.Stenstrom, ``A Survey of Cache Coherence Schemes for Multiprocessors,'' IEEE Computer, Vol. 23, No. 6, 1990, pp. 12-24.
[46]
フィルマン,フリードマン 共著, 雨宮, 尾内, 高橋 共訳, ``協調型計算システム,'' マグロウヒル, 1986
[47]
L.Rudlph, Z.Segall, ``Dynamic Decentralized Cache Schemes for MIMD Parallel Processors,'' ISCA84, pp. 340-347.
[48]
J.Lee, U.Ramachandran, ``Synchronization with Multiprocessor Caches,'' ISCA90, pp. 27-37.
[49]
B.J.Smith, ``A Pipelined, Shared Resource MIMD Computer,'' ICPP78, pp. 6-8.
[50]
J.Konicek, et al., ``The Organization of the Cedar System,'' ICPP91, pp. 49-66.
[51]
Arvind, R.A.Iannucci, ``A Critique of Multiprocessing von Neumann Style,'' ISCA83, pp. 426-436.
[52]
R.Gupta, ``The Fuzzy Barrier,'' A Mechanism for High Speed Synchronization of Processors, ASPLOS III, 1989. pp.54-63.
[53]
高木、有田、曽和、''細粒度並列実行を支援する様々の 静的順序制御方式の定量的評価,'' JSPP91, pp.269-276.
[54]
松本 尚, Elastic Barrier: 一般化されたバリア型同期機構, 情報処理学会論文誌, Vol. 32, No. 7, pp. 886-896, Jul. 1991
[55]
M.T.O'Keefe, H.G.Dietz, ``Hardware Barrier Synchronization: Static Barrier MIMD (SBM),'' ICPP90, pp.I35-I42
[56]
M.T.O'Keefe, H.G.Dietz, ``Hardware Barrier Synchronization: Dynamic Barrier MIMD (DBM),'' ICPP90, pp.I43-I46.
[57]
山家、村上、 ``バリア同期モデル -Taxonomyと新モデルの提案,'' JSPP93. pp.119-126.
[58]
徳永, 村上, 山家, ``メモリコンシステンシィ・モデル-- 新しいモデルの提案およびその能力比較 --,'' JSPP93, pp.253-260.
[60]
J.Kuskin et al. ``The Stanford FLASH Multiprocessor,'' ISCA94, pp.302-313.
[61]
A.Agarwal et al. ``The MIT Alewife Machine: A large scale distibuted memory multiprocessor,'' Proc. of Workshop on Scalable Shared Memory Multiproessors, Kluwer Academic Pub. 1991.
[62]
K.Hiraki et al. ``Overview of the JUMP-1, an MPP Prototype for General-Purpose Parallel Computations,'' SPAN94.
[63]
D.Chaiken, C.Fields, K.Kurihara, A.Agarwal, Directory-Based Cache Coherence in Large-Scale Multiprocessors, IEEE Computer, Vol. 23, No. 6, 1990. pp. 49-58.
[64]
C.K.Tang, Cache System Design in the Tightly Coupled Multiprocessor System, In AFIPS Conf. Proc., National Computer Conference, 1976, pp. 749-753.
[65]
L.M.Censier, P.Feautrier, A New Solution to Coherence Problems in Multicache Systems, IEEE Trans. on Computers, Vol. C-27, No. 12, 1978, pp. 1112-1118.
[66]
P.Stenstrom, A Cache Consistency Protocol for Multiprocessors with Multistage Networks, ISCA89, pp. 407-415.
[67]
J.Archibald, J.-L.Baer, An Economical Solution to the Cache Coherence Problem, ISCA84, pp. 355-362.
[68]
W.D.Weber, A.Gupta, Analysis of Cache Invalidation Patterns in Microprocessors, Proc. of ASPLOS III, 1989. pp. 243-256.
[69]
S.J.Eggers, R.H.Katz, A Characterization of Sharing in Parallel Programs and its Application to Coherence Protocol Evaluation, ISCA88, pp. 373-382.
[70]
A.Agarwal, R.Simoni, J.Hennessy, M.Horowitz, An Evaluation of Directory Schemes for Cache Coherence, ISCA88, pp. 280-289.
[71]
D.Chaiken, J.Kubiatowicz, A.Agarwal, LimitLESS Directories: A Scalable Cache Coherence Scheme, ASPLOS IV, pp. 224-234, Apr. 1991
[72]
D.V.James, A.T.Laundrie, S.Gjessing, G.S.Sohi, Distributed-Directory Scheme: Scalable Coherent Interface, IEEE Computer, Vol. 23, No. 6, pp. 74-77, Jun. 1990
[73]
M.Thapar, B.Delagi, Distributed-Directory Scheme: Stanford Distributed-Directory Protocol, IEEE Computer, Vol. 23, No. 6, pp. 78-80, Jun. 1990
[74]
R.Simoni, M.Horowitz, Dynamic Pointer Allocation for Scalable Cache Coherence Directories, Proc. of Int'l Symp. on Shared Memory Multiprocessing (ISSMM), pp. 72-81, Tokyo, Apr. 1991
[75]
E.Hagersten, A.Landin and S.Haridi, ``DDM - A Cache-Only Memory Architecture,'' IEEE Computer, Vol.25, No.9, 1992. pp.44-56.
[76]
L.Lamport, How to Make a Multiprocessor Computer That Correctly Executes Multiprocess Programs, IEEE Trans. on Computers, Vol. C-28, No. 9, 1979. pp. 690-691.
[77]
M.Dubois, C.Scheurich, F.Briggs, Memory Access Buffering in Multiprocessors, ISCA86, pp. 434-442.
[78]
C.Scheurich, M.Dubois, Correct Memory Operation of Cache-Based Multiprocessors, ISCA87, pp. 234-243.
[79]
S.V.Adve, M.D.Hill, Weak Ordering - A New Definition, ISCA90, pp. 2-14.
[80]
K.Gharachorloo, et al., Memory Consistency and Event Ordering in Scalable Shared-Memory Multiprocessors, ISCA90, pp. 15-26.
[81]
K.Gharachorloo, A.Gupta, J.Hennessy, Performance Evaluation of Memory Consistency Models for Shared-Memory Multiprocessors, ASPLOS IV, pp. 245-257, Apr. 1991
[82]
J.R.Goodman, Cache Consistency and Sequentiial Consistency, Computer Science Technical Report No.1006, Univ. of Wisconsin Madison, Feb. 1991
[83]
S.V.Adve, M.D.Hill, A Unified Formalization of Four Shared-Memory Models, Computer Science Technical Report No.1051, Univ. of Wisconsin Madison, Sep. 1991
[84]
J.R.Goodman, M.K.Vernon, P.J.Woest, Efficient Synchronization Primitives for Large-Scale Cache-Coherent Multiprocessors, Proc. of ASPLOS III, pp. 64-75, Apr. 1989
[85]
P.J.Woest, J.R.Goodman, An Analysis of Synchronization Mechanisms in Shared-Memory Multiprocessors, Proc. of Int'l Symp. on Shared Memory Multiprocessing (ISSMM), pp. 152-1165, Tokyo, Apr. 1991
[86]
J.M.Mellor-Crummey, M.L.Scott, Synchronization Without Contention, Proc. of ASPLOS IV, pp. 269-278, Apr. 1991
[87]
E.F.Gehringer, A.K.Jones, A.A.Segall, The CM* Testbed, IEEE Computer, Vol. 15, No. 10, pp. 38-50, Oct. 1982
[88]
A.W.Wilson Jr., Hierarchical Cache/Bus Architecture for Shared Memory Multiprocessors, ISCA87, pp. 244-252.
[89]
J.R.Goodman, P.J.Woest, The Wisconsin Multicube: A New Large-Scale Cache-Coherent Multiprocessor, ISCA88, pp. 422-431.
[90]
D.Lenoski, et al., The Directory-Based Cache Coherence Protocol for the DASH Multiprocessor, ISCA90, pp. 148-159.
[91]
松本, 平木, ``Memory Based Processor による分散共有メモリ,'' JSPP93, pp. 245-252.
[92]
T.Kudoh, et al. ``Hierarchical bit-map directory schemes on the RDT interconnection network for a massively parallel processor JUMP-1,'' ICPP95, pp.I186-I193.
[93]
D.H.D. Warren and S.Haridi, ``Data Diffusion Machine - A Scalable Shared Virtual Memory Multiprocessor,'' Proc. of FGCS88, 1988, pp.943-952.
[94]
Kendall Square Research, ``Technical Summary,'' 1992.
[95]
P.Stenstrom, T.Joe and A.Gupta, ``Comparative Performance Evaluation of Cache-Coherent NUMA and COMA Architecture,'' ISCA92, pp.80-91.
[96]
S.Sakai et al., ``RICA: Reduced Interprocessor-Communication Architecture,'' SPDP93. pp.122-126.
[97]
P.Stenstrom, M.Brorsson and L.Sandberg, ``An Adaptive Cache Coherence Protocol Optimized for Migratory Sharing,'' ISCA93. pp.109-118.
[98]
森、福島、五島、中島、富田、 ``Self-Cleanup Cacheの提案,'' JSPP95, pp.265-272.
[99]
K.Li, ``IVY: A Shared Virtual Memory System for Parallel Computing,'' ICPP88, pp.94-101.
[100]
S.K.Reinhardt, J.R.Larus, D.A.Wood, ``Tempest and Typhoon: User-Level SHared Memory,'' ISCA94, pp.325-336.
[101]
天野、西、西村、工藤、 ``超並列計算機プロトタイプJUMP-1のルータチップ,'' 信学報、CPSY94-98, Jan. 1995.
[102]
D.H.Lawrie, ``Access and Alignment of Data in an Array Processor,'' IEEE Trans. on Comput. Vol.C-24, No.12, Dec. 1975. pp.1145-1155.
[103]
H.J.Siegel, and S.D.Smith, ``Study of multistage SIMD interconnection networks,'' ISCA78, pp.223-229.
[104]
C.Wu, T.Feng, ``On a Class of Multistage Interconnection Networks,'' IEEE Trans. on Comput. Vol.C-29, No.8, Aug. 1980. pp.694-702.
[105]
T.Feng, ``Data Manipulating Functions in Parallel Processors and Their Implementations,'' IEEE Trans. on Comput. Vol. C-23, No.3, Mar. 1974. pp.309-318.
[106]
T.J.McMillen, H.J.Siegel, ``Routing Schemes for the Augmented Data Manipulator Network in an MIMD System,'' IEEE Trans. on Comput. Vol. C-31, No.12, Dec. 1982. pp.1202-1214.
[107]
G.J.Lipovski, M.Malek, ``Parallel Computing -Theory and Comparisons-,'' A Wiley-Interscience Pub., 1987.
[108]
D.M.Dias and J.R.Jump, ``Analysis and Simulation of Buffered Delta Networks,'' IEEE Trans. on Comput. Vol.C-30, No.4, Apr. 1981. pp.273-280.
[109]
P.Yew, D.H.Lawrie, ``An Easily Controlled Network for Frequently Used Permutations,'' IEEE Trans. on Comput. Vol. C-30 No.4 Apr. 1981. pp.296-298.
[110]
V.E.Benes, ``On Rearrangeable Three-Stage Connecting Networks,'' The Bell System Tech. Journal Vol. XLI, No.5, 1962. pp.1481-1491.
[111]
Clos,C. : ``A study of non-blocking switching networks,'' Bell System Tech. J. 32, 2 Mar. (1953). pp.406-424.
[112]
K.E.Batcher, ``Sorting networks and their applications,'' Proc. Joint Computer Conference, Apr. 1968. pp.307-314.
[113]
S.G.Akl, ``Parallel Sorting Algorithms,'' Academic Press, 1985.
[114]
N.J.Narasimha, ``The Batcher-Banyan selfrouting network: universality and simplification,'' IEEE Trans. on Comput. COM-36, No.10, Oct. 1988. pp.1175-1178.
[115]
K.Gaye, H.Amano, ``A Batcher Double Omega network with Combining,'' IEICE Trans. on information and systems, Vol.E75-D No.3 1992. pp.307-314.
[116]
T.Hanawa, H.Amano and Y.Fujikawa, ``Multistage Interconnection Network with multiple outlets,'' ICPP94, I1-I8.
[117]
Tobagi, F.A. Kwok, T.:{\em The Tandem Banyan Switching Fabric: a Simple High-Performance Fast Packet Switch">[], Proc. of INFOCOM91, (1991).
[118]
坂元,荒川,正木,井上,天野: 自己ルーチングスイッチの構成と その評価,信学技報 ISSE88-30 8, (1988).
[119]
G.B.Adams III, and H.J.Siegel, ``The Extra Stage Cube: A Fault-Tolerant Interconnection Network for Supersystems,'' IEEE Trans. on Comput. Vol. C-31, No.5 May 1982. pp.443-454.
[120]
Amano, H. Zhou, L. Gaye, K.:{\em SSS(Simple Serial Synchoronized)-MIN: A novel multi stage interconnection architecture for multiprocessors">[], Proc. of IFIP Congress 92, 1992. pp.571-577.
[121]
天野, 周, 藤川: SSS (Simple Serial Synchronized)型マルチステージ ネットワーク, 情報処理学会論文誌Vol.34, No.5, pp. 1134-1143, (1993).
[122]
G.F.Pfister, V.A.Norton, ``Hot Spot'' Contention and Combining in Multistage Interconnection Networks, IEEE Trans. on Computers, Vol. C-34, No. 10, pp. 943-948, Oct. 1985
[123]
A.Gottlieb, et al. The NYU Ultracomputer -- Designing an MIMD Shared Memory Parallel Computer, IEEE Trans. on Computers, Vol. C-32, No. 2, pp. 175-189, Feb. 1983
[124]
S.R.Dickey and O.E.Percus, ``Performance differences among combining switch architectures,'' ICPP92, I110-I117.
[125]
A Cost-Effective Combining Structure for Large-Scale Shared Memory Multiprocessors,'' IEEE Trans. on Comput. Vol 41, No.11 Nov. 1992. pp.1420-1429.
[126]
S.R.Dickey and T.Kenner ``Combining Switches for the NYU Ultracomputer,'' Prof. of Frontiers to Massively Parallel Processing Dec. 1992. pp.521-523.
[127]
M.Sasahara et al., ``SNAIL: a multiproessor based on the simple serial synchronized multistage interconnectin network architecture,'' ICPP94, pp.I117-I120.
[128]
笹原、寺田、大和、塙、天野、 ``SSS型MINに基づくマルチプロセッサSNAIL,'' 情報処理学会論文誌 Vol.36, No.7, Jul. 1995. pp.1640-1651.
[129]
A.V.Veidenbaum, A Compiler-Assisted Cache Coherence Solution for Multiprocessors, Proc. of ICPP, pp. 1029-1036, Aug. 1986
[130]
J.Edler, et al., Issues Related to MIMD Shared-memory Computers: the NYU Ultracomputer Approach, Proc. of 12th ISCA, pp. 126-135, Jun. 1985
[131]
W.C.Brantley, K.P.McAuliffe, J.Weiss, RP3 Processor-Memory Element, Proc. of ICPP, pp. 782-789, Aug. 1985
[132]
R.L.Lee, P.-C.Yew, D.H.Lawrie, Multiprocessor Cache Design Considerations, Proc. of 14th ISCA, pp. 253-262, Jun. 1987
[133]
R.Cytron, S.Karlovsky, K.P.McAuliffe, Automatic Management of Programmable Caches, Proc. of ICPP, Vol. II, pp. 229-238, Aug. 1988
[134]
H.Cheong, A.V.Veidenbaum, A Cache Coherence Scheme with Fast-Selective Invalidation, Proc. of 15th ISCA, pp. 299-307, May 1988
[135]
H.Cheong, A.V.Veidenbaum, Stale Data Detection and Coherence Enforcement Using Flow Analysis, Proc. of ICPP, Vol. I, pp. 138-145, Aug. 1988
[136]
H.Cheong, A.V.Veidenbaum, A Version Control Approach to Cache Coherence, Proc. of Int'l Conf. Superconputing 89, pp. 322-330, Jun. 1989
[137]
S.L.Min, J.-L.Baer, A Timestamp-based Cache Coherence Scheme, Proc. of ICPP, Vol. I, pp. 23-32, Aug. 1989
[138]
H.E.Mizrahi, J.L.Baer, E.D.Lazowska, J.Zahorjan, ``Introducing Memory into the Switch Elements of Multiprocesssor Interconnection Networks,'' ISCA89, pp.158-166.
[139]
A.K.Nanda, L.N.Bhuyan, ``Design and Analysis of Cache Coherent Multistage Interconnection Networks,''IEEE Trans. on Computers, Vol.42, No.4, 1993. pp.458-470.
[140]
L.N.Bhuyan, A.K.Nanda and T.Askar, ``Performance and Reliability of the Multistage Bus Network,'' ICPP94, pp.I150-I157.
[141]
Broomell,G. and Heath,J.R. : ``Classification Categories and Historical Development of Circuit Switching Topologies,'' ACM Computing Surveys, Vol.15, No.2, Jun. 1983. pp.93-133.
[142]
G.B.Adams III, D.P.Agrawal, H.J.Siegel, ``Fault-Tolerant Multistage Interconnection Networks,'' IEEE Computer, 20, Jun. 1987. pp.12-27.
[143]
黒川、相磯、 ``結合方式,'' 情報処理、Vol.27, No.9 1986. pp.1005-1021.
[144]
奥川峻史、 ``並列計算機アーキテクチャ,'' コロナ社 並列処理シリーズ2,
[145]
K.Hwang, et al. ``Computer Architecture and parallel processing,'' McGrow-Hill Book Co. pp.410-421, 1984.
[146]
J.Beetem, M.Demmeau and D.Weingarten, ``The GF-11 Supercomputer,'' ISCA85, pp.108-115.
[147]
G.E.Schmidt, The Butterfly Parallel Processor, Proc. of Int'l Conf. Supercomputing, 1987. pp. 362-365.
[148]
H.F.Jordan, ``Perofrmance measurements on HEP - a pipelined MIMD computer,'' ISCA83, pp.207-212.
[149]
G.F.Pfister, et al., The IBM Research Parallel Processor Prototype (RP3): Introduction and Architecture, ICPP85, pp.764-771.
[150]
Konicek, J. et al,: {\em The Organization of the Cedar System}, ICPP91, pp.49-56.
[151]
戸田、西田、高橋、Michell、山口、 ``優先度先送り方式による実時間相互結合用ルータチップの実現と性能、'' 情報処理学会論文誌、Vol.36, No.7, Jul. 1995. pp.1619-1629.
[152]
田村、中村、喜連川、高木、 ``並列関係データベース処理を支援する相互結合網: SDC-IIに おけるパケット平坦化ネットワークの実装と評価,'' JSPP95. pp.129-136.
[153]
高橋、小池、田中、 ``並列推論マシンPIE64の相互結合網の作成および評価,'' JSPP90. pp.89-96.
[154]
C.S.Raghavendra, A.Varma, ``INDRA: A Class of Interconnection Networks with Redundatnt Paths,'' 1984 Real-Time System Symp., Computer Society Press, Silver Spring, Md., 1984, pp.153-164.
[155]
R.J.McMillen and H.J.Siegel, ``A Fault-Tolerant Multistage Interconnection Network for Multiprocessor Systems Using Dynamic Redundancy,'' ISCA82, pp.63-72.
[156]
V.P.Kumar and S.M.Reddy, ``Augumented Shuffle-Exchange Multistage Interconnection Networks,'' Computer, June 1987, pp.30-40.
[157]
D.Cantor, ``On Nonblocking Switching Networks,'' Networks, Vol.1 No.4, 1971, pp.367-377.
[158]
K.Y.Lee, W.Hegazy, ``The Extra stage Gamma Network,'' ISCA86, pp.175-182.
[159]
L.Ciminiera and A.Serra, ``A Connecting Network with Fault Tolerance Capabilities,'' IEEE Trans. Computers, June 1986, pp.578-580.
[160]
S.M.Reddy and V.P.Kumar, ``On Fault Tolerant Multistage Interconnection Networks,'' ICPP84, pp.155-164.
[161]
D.S.Parker and C.S.Raghavendra, ``The Camma Network: A Multiprocessor Interconnection Network with Redundant Pathes,'' ISCA82, pp.73-80.
[162]
K.E.Batcher, ``The Flip Network in STARAN,'' ICPP76, pp.65-71.
[163]
H.Amano, `` A Fault Torelant Batcher Network,'' ICPP90, pp.I441-I444
[164]
C.Chan and H.Amano, `` An Extended Fault Torelant Batcher Network,'' IEEE Workshop on Fault Tolerant Paralle and Distributed systems, 1992, pp.209-216.
[165]
J.P.Shen, J.P.Hayes, ``Fault-Tolerance of Dynamic Full Access Interconnection Networks,'' IEEE Trans. on Computers, Vol.C-33, No.3. 1984. pp.241-248.
[166]
K.Padmanabhan and D.H.Lawrie, ``A Class of Redundant Path Mulitistage Interconnection Networks,'' IEEE Trans. on Computers, No.12. 1983. pp.1099-1108.
[167]
L.M.Ni and P.K.McKinley, "A Survey of Wormhole Routing Techniques in Direct Network", Computer, Feb. 1993. pp.62-76.
[168]
M.R.Samatham and D.K.Pladhan, "The De Bruijn Multiprocessor Network: A versatile Parallel Processing and Sorting Network for VLSI", IEEE Trans. on Computer, Vol.C-38, No.4, 1989. pp.567-581.
[169]
J.C.Bermond and C.Peyrat, "De Bruijn and Kautz Networks: A competitor for the Hypercube", North-Holland,1989.
[170]
D.K.Pradhan, "Fault-Tolerant Multiprocessor Link and Bus Network Architectures", IEEE Trans, Comput., Vol. C-34, No.1 1985. pp.35-45.
[171]
児玉、坂井、山口、 ``高並列計算機EM-4とその並列性能評価,'' 電子情報通信学会論文誌, J75-D-I,No.8,1992. pp.607-614.
[172]
横田、松岡、岡本、広野、坂井、 ``超並列向け相互結合網MDCEの提案と評価,'' 情報処理学会論文誌, Vol.36, No.7, Jul. 1995. pp.1600-1609.
[173]
S.B.Akers, D.Harel and B.Krishnamurthy, "The Star Graph: An attractive alternative to the n-cube", ICPP87, pp.393-400.
[174]
F.P.Preparata and J.Vuillemin, "The cube-coonected cycles: A versatile network for parallel computation", Comm, ACM, Vol.24, No.5, 1981. pp.300-309.
[175]
K.Hwang and J.Ghosh, "Hypernet: A Commuinication-Efficient Architecture for Constructing Massibely Parallel Computers", IEEE Trans. on Computers. Vol.36, No.12, 1987. pp.1450-1466.
[176]
N.Tzeng and S.Wei, "Enhanced Hypercubes", IEEE Trans. on comput, Vol.40, No.3, Mar. 1992. pp.284-294
[177]
S.Latifi And A.E.Amawy, ``On folded hypercubes,'' ICPP89. pp.I180-I187.
[178]
J.M.Kumar and M.Patnaik, "Extended Hypercune: A Hierarchical Interconnection Networks of Hypercubes", IEEE Trans. on Computers., Vol.3, No.1, 1992. pp.45-57.
[179]
石川 勉, ``直径と接続数が小さい高並列計算機向きネットワーク CCTcube,'' 電子情報通信学会論文誌, J73-D-I, No.6, 1992. pp.599-602.
[180]
A.H.Esfahanian, L.M.Ni, and B.E.Sagan, ``The Twisted N-CUbe with Application to Multiprocessing,'' IEEE Trans. Comput., Vol.40, No.1, 1991. pp.88-93.
[181]
K.Efe, "The Crossed Cube Architecture for Prallel Processing", IEEE Trans. on comput, Vol.3, No.5, 1992. pp.513-524.
[182]
R.Beivide and et al., "Optimal Distance Networks of Low Degree for parallel computers", IEEE Trans. on Computers, Vol.C-40, No.10, 1991. pp.1109-1124.
[183]
R.Miller, V.K.P-Kumar, D.I.Reisis and Q.F.Stout, "Meshes with recofigurable buses", MIT conference on advanced researchin VLSI, 1988.
[184]
H.Li and M.Maresca, "Poly>morphic-Torus Network", IEEE Trans. on comput, Vol. C-38, No.9, 1989. pp.1345-1351.
[185]
松山, 青山, ``再帰トーラス結合アーキテクチャ,'' 情報処理学会論文誌, Vol.33, No.2, 1992. pp.49-58.
[186]
林、Chuang, 堀江、 ``分割再構成可能なトーラスネットワーク、'' JSPP93. pp.175-182.
[187]
F.T.Boesch and R.Tindel, "Circulants and their connectivities", "J. of graph theory", Vol.8, 1985. pp.487-499.
[188]
岩崎、 イゼリ、佐藤、 ``超並列計算機用VLSIに適した結合網の一提案,'' 電子情報通信学会論文誌, J75-D-I, No.8, 1992. pp.583-591.
[189]
楊、天野、柴村、末吉、 ``超並列計算機向き結合網: RDT,'' 電子情報通信学会論文誌, J78-D-I, No.2, 1995. pp.118-128.
[190]
K.W.Tang and S.A.Padubidri, "Routing and diameter analysis of diagonal mesh networks", ICPP92, pp.I143-I150.
[191]
鈴岡、藤田、中村、小柳 ``超並列AIマシンの構想,'' 第35回情処全大,3C5,1987.
[192]
中越、田中、濱中、面田 ``並列計算機H2Pの要素プロセッサ間非同期データ通信,'' 第38回情処全大,6T7,1989.
[193]
T.Fukazawa et al., ``R256: a research parallel processor for scientific computation", ISCA89, pp.344-351.
[194]
T.H.Szymanski, "A Fiber-Optic Hypermesh for SIMD/MIMD machines", IEEE Supercomputing 90, 1990. pp.103-110.
[195]
T.H.Szymanski, "O(logN/loglogN) randomized routing in degree-logN Hypermeshes", ICPP91. pp.I443-I450.
[196]
野木 達夫, ``科学技術計算シミュレーション超並列計算機ADENA,'' 情報処理, Vol.32,No.4,1991. pp.377-387.
[197]
C.E.Leiserson, "Fat-trees: Universal netowrks for harware-efficient supercomputing", IEEE Trans. on comput, Vol.34, No.10, 1985. pp.892-901.
[198]
村田、原田、朴、天野、 ``MDX:大規模並列計算機用結合網クラス,'' 信学報CPSY95-21, Apr. 1995.
[199]
村田、天野、原田、朴 ``MDX-Baseline: 交信局所性の利用とランダム交信能力を共に満足する網- 信学報CPSY95, Oct. 1995.
[200]
P.Kermani and L.Kleinrock, ``Virtual Cut-Through: A New Computer Communication Switching Techniques,'' Computer Networks, Vol.3, No.4 1979. pp.267-286.
[201]
W.J.Dally, ``Virtual Channel Flow Control,'' IEEE Trans. Parallel and Distributed Systems, Vol.3, No.2, Mar.1992. pp.194-205.
[202]
M.P.Merlin, J.P.Schweitzer, ``Deadlock Avoidance in Store-and-Forward Netowrks -1: Store-and-Forward Deadlock,'' IEEE Trans. on Comm. Vol. COM-28, No.3, 1980. pp.345-354.
[203]
堀江、石畑、池坂、 ``並列計算機AP1000における相互結合網のルーチング方式,'' 電子情報通信学会論文誌D-I, Vol.J75-D-I No.8, 1992. pp.600-606.
[204]
W.J.Dally and C.L.Seitz, ``Deadlock-Free Message Routing in Multiprocessor Interconnection Networks,'' IEEE Trans. on Comput. Vol.C-36, No.5, 1987. pp.547-553.
[205]
S.Konstantinidou and L.Snyder, ``Chaos router: architecture and performance,'' ISCA91, pp.212-221.
[206]
D.H.Linder and J.C.Harden, ``An adaptice and fault tolerant wormhole routing strategy for k-ary n-cubes,'' IEEE Trans. on Comput. Vol.C-40, No.1, 1991. pp.2-12.
[207]
A.A.Chien and J.J.Kim, ``Planar-Adaptive Routing: Low-cost Adaptive Networks for Multiprocessors,'' ISCA92. pp.268-277.
[208]
C.J.Glass and L.M.Ni, ``Maximally Fully Adaptive Routing in 2D Meshes,'' ISCA92. pp.278-287.
[209]
W.J.Dally and H.Aoki, ``Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels,'' IEEE Trans. on Parallel and Distributed Systems, Vol.4, No.4 1993. pp.466-475.
[210]
J.Duato, ``A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks,'' IEEE Trans. on Parallel and Distributed Systems, Vol.4 No.12, 1993. pp.1320-1331.
[211]
J.Duato, ``A Necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks,'' ICPP94. pp.I142-I149.
[212]
曽根、朴、中村、中澤、 ``ハイパクロスバ・ネットワークにおけるvirtual channel の動的選択による適応ルーティング、'' JSPP95. pp.249-256.
[213]
C.L.Seitz, ``The Cosmic Cube,'' Comm. ACM. Vol.28, NO.1 1985. pp.22-33.
[214]
C.W.Strevens, ``The Transputer,'' ISCA85. pp.292-300.
[215]
木村、福島、 ``トランスピュータによる並列処理、'' 海文堂、1990.
[216]
ダニエル・ヒリス著、喜連川 優訳 ``コネクションマシン,'' パーソナルメディア、1990.
[217]
T.Eicken, D.E.Culler, S.C.Goldstein, K.E.Schauser, ``Active Messages: a Mechanism for Integrated Communication and Computation,'' ISCA92. pp.256-266.
[218]
渋沢進, ``超立方体結合と大域バス結合より成る一相互結合モデルについて,'' 情報処理学会論文誌、Vol.32, No.4 1991. pp.533-543.
[219]
B.W.Arden and H.Lee, ``Analysis of Chordal Ring Network'', IEEE Trans. on Computers, C-30, No.4, 1981, pp.291-295.
[220]
J.J.Park, K.Y.Chwa, ``Recursive Circulant: A New Topology for Multicomputer Networks,'' ISPAN94, pp.73-80.
[221]
C.Chen, D.P.Agrawal, R.Burke, ``dBCube: A New Class of Hierarchical Multiprocessor Interconnection Networks with Area Efficient Layout,'' IEEE Trans. on Computers, Vol.4, No.12, 1993. pp.1332-1344.
[222]
W.J.Dally, ``Express Cubes: Improving the performance of k-ary n-cube interconnectin networks,'' IEEE Trans. on Computers, Vol.40, No.9 1991. pp.1016-1023.
[223]
W.J.Hsu, ``Fibonacci Cubes: A New Interconnection Topology'', IEEE Trans. on parallel and distributed systems, Vol.4, No.1, 1993. pp.3-12.
[224]
野々村、深澤、栗野、 ``非対称並列計算の埋め込み可能な対称ネットワーク,'' CPSY94-50, 1994.
[225]
Q.M.Malluhi and M.A.Bayoumi, ``The Hierarchical Hypercube: A New Interconnection Topology for Massively Parallel Systems,'' IEEE Trans. on Parallel and Distributed Systems, Vol.5, No.1 1994. pp.17-30.
[226]
E.Ganesan and D.K.Pradhan, ``The Hyper-deBruijn Networks: Scalable Versatile Architecture'', IEEE Trans. on Parallel and Distributed Systems'', Vol.4, No. 9, 1993. pp.962-978.
[227]
D.A.Carlson, ``Performing Tree and Prefix Computations on Modified Mesh-Oriented Parallel Computer,'' ICPP85,
[228]
井口、堀口、 ``超並列計算機向きプロセッサ結合網SRT,'' CPSY95-69, 1995.
[229]
秋山、小池、田中、 ``分散共有メモリ型超並列計算機におけるディレクトリ方式と相互結合網に ついて,'' CPSY94-49, 1994.
[230]
工藤、福嶋、好村、天野、西村、 ``超並列計算機用結合網RTMの提案,'' CPSY94-61, 1994.
[231]
R.A.Finkel, M.H.Solomon, ``Processor Interconnection Strategies,'' IEEE Trans. Computer, Vol.C-29, No.5, 1980. pp.443-454.
[232]
R.A.Finkel, M.H.Solomon, ``The Lens Interconnection Strategy,'' IEEE Trans. Computer, Vol.C-30, No.12, 1981. pp.360-371.
[233]
古田、大友、岩崎、 ``格子にリンクを付加する次数6のネットワークに関する考察,'' CPSY94-99, 1994.
[234]
A.M.Despain, D.A.Patterson, ``X-TREE: A Tree Structured Multiprocessor Computer Architecture,'' ISCA78, pp.144-151.
[235]
新世代コンピュータ技術開発機構編, ``並列アーキテクチャに関する技術動向調査研究報告書,'' 平成5年度版.
[236]
石畑, 稲野, 堀江, 清水, 池坂, ``高並列計算機 AP-1000 のアーキテクチャ,'' 電子情報通信学会論文誌, J75-D-1-8, pp.637-645
[237]
馬場,吉永, ``並列オブジェクト指向トータルアーキテクチャ A-NETにおける言語とアーキテクチャの統合,'' 電子情報通信学会論文誌, Vol.J75-D-I, No.8, 1992.
[238]
H.Kadota et.al, ``Parallel Computer ADENART -Its Architecture and Application-,'' ICS91, pp.1-8.
[239]
石井光雄, ``高並列計算機CAP,'' 電子情報通信学会論文誌, Vol.J71-D, NO.8, pp.1375-1382, 1988.
[240]
中田 他, ``並列回路シミュレーションマシンCenju,'' 情報処理,Vol.31, No.5, pp.593-601, 1990.
[241]
丸山,他, ``並列コンピュータCenju-3の アーキテクチャとその評価,'' 電子情報通信学会論文誌 Vol.J78-D-I, No.2, pp.73-81, 1995.
[242]
ダニエル・ヒリス著,喜連川優訳, ''コネクションマシン,'' パーソナルメディア,1990.
[243]
中田,堀口,高木,川添,重井,''クラスタ方式 マルチプロセッサシステム,'' 電子情報通信学会誌, Vol.J70-D No.8, pp.1469-1477, 1987.
[244]
日本シンキングマシンズ(株), コネクションマシン CM-5, 1991.
[245]
藤田,他 ``メモリ集積型SIMDプロセッサIMAP,'' 電子情報通信学会誌, Vol.J78-D-I No.2, pp.82-90, 1995.
[246]
Intel Corp., Paragon XP/S product overview, 1991.
[247]
日本クレイ(株), CRAY MPP プロジェクト, 1992セミナー資料.
[248]
S.J.Stolfo, ``Five Parallel Allgorithms for Production SYstem Execution on the DADO Machine,'' AAAI '84, pp.300-306, 1984.
[249]
B.J.Smith, ``Architecture and applications of the HEP multiprocessor computer system,'' Proc. of SPIE, pp.241-248, 1985.
[250]
Meiko. CS-2 Product Description, 1992.
[251]
Kendall Square Research. Technical Summery, 1992.
[252]
Parsytec GmbH. Parsytec GC Technical Summery, 1991.
[253]
日本NCR, 世界最高速のUNIX汎用機 NCR3600 超並列処理コ ンピュータ発売, NCR journal, 303, 1992.
[254]
C.L.Seitz, ``THE COSIC CUBE,'' CACM, vol.28 No.1, pp.22-33, Jan.1985
[255]
K.A.Frenkel: Evaluating Two Massively Parallel Machines, CACM, Vol.29, No.7, pp.752-758, 1986
[256]
R.Kober : The Multiprocessor System SMS201, COMPCON Fall, pp.225-230, 1978
[257]
Swan, R.J., et al. : The implementation of the CM\* multiprocessor, Proc. of NCC, 46, pp.645-655, 1977
[258]
Wulf, W.A. and S.P Harbison, ``Reflections in a pool of Processors: an experience report on C.mmp\/Hydra,'' Carnegie-Mellon University Dept. of Computer Science Report CMU-CS-78-103
[259]
W.J.Dally, et al. ``The J-machine: A fine grain concurrent computer,'' Proc. 12th IFIP Congress.
[260]
S.Rorkar, et.al, ``iWarp: An integrated solutin to high speed parallel computing,'' Supercomputing'88.
[261]
高橋, 遠藤, 松尾, 槌谷 : 二進木結合計算機 Coral 68Kの開発と その評価, 情報処理学会論文誌, Vol30, No1, pp.46-57, 1989.
[262]
中澤喜三郎, 朴 泰祐, 中村 宏, 中田育男, 山下義行, 岩崎洋一: CP-PACS のアーキテクチャの概要, 情報処理学会研究報告, 94-ARC-108, pp.57-64, 1994.
[263]
末吉,最所,有田,''階層構造高多重並列計算機 実験システムHYPHEN-16,'' 情報処理学会論文誌 Vol.25, No.5, pp.813-822, 1984.
[264]
T.Highchi et,al. ``IXM2: A Parallel Associative Processor,'' ISCA91, pp.22-33.
[265]
星野力,''PAXコンピュータ,'' オーム社,1985.
[266]
笠原博徳, 成田誠之助, 橋本 親: OSCAR のアーキテクチャ, 信学論 (D), J71-D, 8, 1988.
[267]
小畑,他 ``ブロードキャストメモリを持つ並列計算機の試作,'' 電子通信学会電子計算機研究会 EC31-37, 1981.
[268]
古田,吉川,岩崎, ``放送+挙手アーキテクチャとH8/330 並列システムでの実験,'' 信学技報, ICD93-90, pp.17-22, 1993.
[269]
小池, 田中: 並列推論エンジン PIE64, 並列コンピュータアーキテク チャ, bit臨時増刊, Vol.21, No.4, pp.488-497, 1989.
[270]
坂井,他,'' 超並列計算機RWC-1の基本構想,'' JSPP'93, pp.87-94.
[271]
大村 一ほか : コンピュータグラフィックスシステム LINKS-1にお ける並列処理の性能評価, 電子情報通信学会論文誌, J68-D, 4号, pp.733-740. 1985
[272]
Gearge H.Barnes, Richard M.Brown, Masao Kato, David J,Kuck, Daniel L.Slotnick: The ILLIAC IV Computer, IEEE Trans. on Computers, Vol.C-17, No.8, pp.746-757, Aug. 1968.
[273]
P.M Flandars, et al.: Experience gained in programming the pilot DAP, a parallel processor with 1024 processing elements, Parallel Computer-Parallel Mathematics, pp.269-273, IMACS, North Holland, 1977.
[274]
K.E.Batcher: STARAN Parallel Processor System Hardware, NCC, pp.405-410, 1974
[275]
高橋義造編, ``並列処理機構'', 第3章,丸善,1989.
[276]
松田, 乾, 六車, 湯浅, ''SIMD型超並列計算機SM-1,'' 第47回全国大会, 6-71, 1993.
[277]
稲葉, ``最大1万6384プロセサを搭載する 1.3GFLOPS の SIMD マ シン,'' 日経エレクトロニクス, 6-25: 102-103, 1990.
[278]
島田, 平木, 西田, ``科学技術計算用データ駆動 計算機 Sigma-I のアーキテクチャ,'' 信学報, EC83-20, 1983.
[279]
S.Sakai, et. al. ``An Architecture of a Dataflow Single Chip Processor,'' ISCA89, pp.46-53.
[280]
新實,富田,萩原,''3次元図形処理専用 並列プロセッサシステムEXPERTSの構成,'' 電子情報通信学会論文誌,J71-D, 8, pp.1446-1453, 1988.
[281]
Papadopoulos,G.M. and Traub,K.R. : Monsoon: An explicit token-store architecture, Proc. 17th Annu. Int. Symp. Computer Architecture, pp.342-351, 1991.
[282]
A. Davis, S.V.Robinson, ``The architecuture of the FAIM-I Symbolic Multiprocessoing System,'' Proc. IJCAL, pp.32-38, 1985.
[283]
N.Matelan, ``The Flex/32 Multicomputer,'' ISCA85. pp.209-213.
[284]
R.Perron C. Mundie, ``The architecture of the Alliant FX/8 computer,'' CompCon Spring, pp.390-393, 1986.
[285]
小池,大森,佐々木, ``論理シミュレーションマシンの ハードウェア構成,'' 情報処理学会論文誌, Vol.25, No.5, pp.873-881, 1984.
[286]
牧野,三木,''PAA: 汎用シミュレータHOSSにおける並列 演算サブシステム,'' 信学報EC82-27, 1982.
[287]
電子工業振興協会, ``ミニコンピュータの技術動向に関する 調査報告書,'' 62-C-582, 1987.
[288]
T.Sawabe, T.Fujii, S.Nakada, N.Ohta, S.Ono, ``A 15GFLOPS parallel DSP system for super high definition image processing,'' IEICE Trans. Fundamentals, Vol.E75-A, No.7, pp.786-793. 1992.
[289]
田中,対木,松本,平木, ``並列計算機プロトタイプお茶の水5号.'' 第3回FPGA/PLD Conference and exibition, pp. 505-513, 1995.
[290]
H.J.Siegel, ``PASM: A partitionable SIMD/MIMD System for Image Processing and Pattern Recognition,'' IEEE Trans. on Computers, C-30, No.12, pp.934-945, 1981.
[291]
N.Tanabe, et.al. ``Base-m n-cube: High performance interconnection networks for highly parallel computer Prodigy,'' ICPP91, pp.I509-516
[292]
H.Amano, T.Boku, T.Kudoh, ``$(SM)^2$-II: A Large Scale Multiprocessor for Sparse Matrix Calculations,'' IEEE Trans. on Computers,. Vol.39, No.7 1990.
[293]
野村宣生, ``IBM Power 並列サーバ9076 SP2システム,'' 電子情報通信学会論文誌 Vol.J78-D-I, No.2, pp.68-72, 1995.
[294]
``演算処理性能を強化した超並列コンピュータ,'' 日立評論 Vol.77, No.11, pp.825, 1995.
[295]
M.Kitsuregawa, et. al. ``Overview of the Super Database Computer (SDC-I),'' IEICE Trans. electron. Vol.E77-C, NO.7, 1994.
[296]
S.Frank A.Inselberg, ``Synapse tightly coupled multiprocessors: a new approach to solve old problems,'' Proc. NCC, pp.41-50, 1984.
[297]
Fujitsu Ltd. Vector Parallel Supercomputer VPP500, 1992 セミナー資料.
[298]
伊藤拓,小畑正貴, ''トーラス・リング・バス階層型並列マシン,'' 情処研報 ARC-112-7, 1995.
[299]
G.F.Pfister, et.al. ``The IBM Research Parallel Processor Prototype,'' ICPP85, pp.764-789.
[300]
M.M.Dennuau, ``The Yorktown Simulation Engine,'' 19th DAC, pp.60-64, 1982.
[301]
小池,中田,梶原,''階層レベル並列論理シミュレーション マシンMAN-YO,'' 電子情報通信学会論文誌, Vol. J71-D, No.8 pp.1391-1398, 1988.

Last modified: Tue Sep 17 19:32:37 1996